期刊ING主营:论文代发、论文查重、专著出书,since 2008.
学术期刊 期刊论文 期刊常识 我要投稿

煤矿井下设备自动化系统改造

【作    者】 林挺
【出    处】 《电子世界》2018年第06期
【标    签】 煤矿机械  机械设备  设备管理  自动化技术  控制系统  电子技术  数字化 
【来    源】 期刊论文来源互联网,如涉及到版权问题或作者不愿意公开,请联系管理员删除!
【提    示】 本站为《电子世界》杂志社正规代理组稿员,诚信可靠;如果您也想把论文投稿《电子世界》,请联系我们!

煤矿井下设备自动化系统改造

重庆市轻工业学校   林挺

【摘要】知识经济时代,信息与技术成为了社会发展的主要推动力,电子技术的飞速发展使得原本的数字化电子实验教学暴露出许多问题,无法满足实际教学需求,在这种情况下,EDA技术开始被应用到数字化电子实验中,有助于提升实验教学的效果。本文从数字化电子技术和EDA技术的定义出发,对EDA技术的发展情况、设计流程以及实验框架进行了简单分析,并就数字化电子实验EDA技术的具体应用进行了讨论。

【关键词】数字化电子技术;EDA技术;设计流程;具体应用

数字电子技术是普通高校和职业院校电子信息专业中的一门必修课,课程本身的理论性和实践性较强,知识点复杂且抽象,需要以数字化电子实验为依托,推动理论与实践的结合,提升敦学效果新时期,数字化电子实验在形势与教学环境等方面出现了很大变化,原本的实验教学出现了许多问题,无法满足现代教育的发展要求,在一定程度上影响了教学效果。基于此,需要运用EDA技术,推动数字电子技术实验教学的改革与创新。

1  数字化电子技术与EDA技术的定义

1.1  数字化电子技术

电子技术本身属于一种基于电子模块和元器件的组成技术,可以分为模拟电子技术和数字电子技术,相比较而言,数字电子技术的应用更加广泛,抽样定理是技术的核心所在,具体来讲,就是依照一定的抽样区间,针对模拟信号进行抽样,以此来得到质量更高、安全性更好的电子信号。而在实际应用中,数字电子技术还能够与计算机技术结合起来,满足软件与电子技术的相关需求。数字电子技术有着良好的用户体验性,其优势主要体现在两个方面:一方面,数字信号可以通过加密的方式,保证安全性的同时,也能够提升接收端的信号接收效果。加密性保证了数字信号的可信度,使得其在传输和使用的过程中,可以有效避免数据丢失等问题:另一方面,数字电子技术可以保证信号效果,提升其接收质量。以抽样定理为核心的数字电子技术可以通过对模拟信号的抽样处理,更加真实地对信号信息还原,降低信号噪音.有助于信号质量的提高[1]

1.2  EDA技术

EDA的全称是Electronic Design Automation,电子设计自动化,其本身是基于计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助工程CAE和计算机辅助测试CAT发展起来的一种新型技术,强调在EDA软件平台上,利用计算机工具,结合VerilogHDL硬件描述语言进行文件设计,实验载体可以选择大规模可编程器件,将电子系统设计作为目标,系统级仿真、综合技术和高级语言描述是其主要特征。EDA技术基本代表了电子设计技术最新的发展方向,在先进可发工具的支持下,能够自动实现逻辑编辑、化简、分割、优化等操作,可以极大地简化设计工作,设计人员可以通过分析提前预知设计结果,提升设计的针对性,缩短产品研发周期,同时也能够有效降低设计成本[2]

2  EDA技术的发展

EDA出现前,在开展集成电路的设计时,相关工作需要设计人员手工完成,之所以能够如此,是因为当时的集成电路相对简单。二十世纪七十年代中期,开发设计人员开始尝试运用先进技术,推动设计过程的自动化,并成立了设计自动化会议。1980年,《超大规模集成电力系统导论》的发表,提出运用编程语言完成芯片设计的相关思路,希望能够借助集成电路逻辑仿真和功能验证等工具,提升芯片设计的复杂程度。计算机仿真技术的应用,使得设计人员能够在构建硬件电路前,就设计项目进行仿真,在这种情况下,芯片布局布线等工作对于人工设计的需求进一步降低,软件的正确率也得到了显著提升[3]。现如今,虽然设计语言和设计工具不断创新,但是对于数字集成电路的设计而言,以编程语言来对预期行为进行设计和验证,以软件工具综合得到低抽象级物理设计,依然是非常重要的基础。1987年,VHDLL硬件描述语言得以产生,推动了仿真系统的飞速发展,运用这些系统,设计人员能够直接完成设计芯片的仿真工作。最近十数年,半导体技术的飞速发展使得集成电路的规模迅速扩大,电子设计自动化显得越发重要,EDA技术在机械、通信、化工、电子、医学乃至军事、航空航天等领域都得到了广泛应用,受到了设计人员和技术人员的高度重视[4]

3  EDA技术的流程

EDA技术的出现,引发了数字电子技术设计领域的变革,其基本设计流程如图1所示。

图1 EDA技术设计流程

图1 EDA技术设计流程


一是设计输入,对于一个设计项目而言,可以包含一个或者多个源文件,这些文件的形式多种多样,包括混合输入文件、VHDL文本文件以及原理图文件等:二是综合,简单来讲,就是利用EDA系统综合其,将软件设计与硬件可实现性挂钩,推动软件向硬件电路的转化。EDA本身具备较好的逻辑综合及优化功能,可以帮助设计人员将设计出的逻辑电路图自动转化成门级电路,同时生成相应的文件报表[5];三是布局布线,在综合后,需要结合相应的适配器,针对某个具体目标,进行文件逻辑映射,这个过程称为适配,或者说是布局布线工作,适配对象能够直接和器件结构的细节相互对应:四是仿真,设计完成后,需要运用EDA工具,针对适配结果进行仿真测试,仿真的级别可以分为两种,一种是时序仿真.即针对适配文件的仿真,与真实器件运行特性接近,在仿真环节充分考虑了硬件特性,仿真精度高。另一种是功能仿真,仅针对设计描述中的逻辑功能进行仿真,根据仿真结果判断功能是否可以满足设计需求,并不会涉及器件的硬件特性[6];五是编程下载,经过仿真确认设计合理后,可以利用Byteblaster下载电缆线,将适配生成的下载或者配置文件下载到器件中,然后开展调试验证工作。下载完成后,需要针对硬件系统进行统一测试,查看设计项目在目标系统上能够正常工作,对于存在的问题,需要及时通过优化设计的方式进行解决。

4  EDA技术下的实验框架

从目前来看,我国自主研发的虚拟实验台基本能够满足数字电子技术实验教学的要求,EDA技术的应用,对虚拟实验的内容进行了丰富,使得操作中的电子模拟实验得以实现[7]。具体来讲,EDA技术下的实验框架应该包含两个方面的内容:一是虚拟数字电子技术实验平台,包括实验仿真功能模块和虚拟实验平台模块,前者是以EDA技术为基础的学习型平台,后者则能够针对实验平台的各种信息进行管理,对平台功能进行评估;二是虚拟数字电子技术模块构建,实验平台仿真功能应该包含的内容有几个,如基础教育、信息采集、虚拟实验和实验结果处理。对于虚拟数字电子技术而言,基础学习包含了EDA工具、理论知识储备、软件编程语言学习以及实验仪器使用说明四个不同的元素,在局域网内,就可以下载完整的数字试验设计案例,通过对案例的分析,能够得到较为详细的设计思路,促进技术水平的提高。管理模块同样包含四个组成部分,分别是项目审批、内容发布、信息整理和进度跟踪[8]

5  数字化电子实验中的EDA技术的具体应用

EDA技术支撑下,数字化电子实验大致可以分为设计型、验证型和创新型三种不同类型,与传统实验相比,基于EDA技术的数字化电子实验教学有着更加清晰的思路,可以对实验流程进行简化,避免重复工作。将EDA技术应用到数字化电子实验中,有着非常明显的优势:一是能够对学生的实践操作能力进行强化,通过实验敦学,帮助学生掌握EDA技术的原理和精髓,使得学生可以运用EDA技术完成系统的设计创新,实验环节较短的开发周期也使得设计电路的调整相对简单,在实验过程中,学生能够树立对于学习的信息,激发兴趣,并在兴趣的引导下开展实践,有助于其思维能力的培养和实践能力的强化;二是可以提升实验的可靠性。EDA技术的应用,能够对数字化电子实验的模式和方法进行创新,打破束缚,提升实验可靠性,使得实验的价值能够得到有效发挥。另外,EDA技术的应用,还可以对电路设计进行更加清晰直观的反映,帮助学生及时找出设计中存在的不足和问题,提升实验效率和准确性。例如,在实验过程中,受延时因素影响,各级门电路容易发生冒烟现象,与正常信号中产生尖脉冲,传统实验模式并不能及时发现,EDA技术支持下则能够将该问题直观反映出来,结合仿真分析来对问题进行解决:三是保证实验设计的开放性。EDA仿真的应用,突破了时间与空间的束缚,对于课堂时间和实验设备并没有过多要求,与传统实验相比,EDA技术支持下的数字化电子实验主要是以软件平台为依托开展实验和设计,在设计完成后可以直接下载文件,也可以就系统性能进行仿真测试,这样的形式提高了实验的高效性和灵活性[9]。在EDA仿真环节,学生能够对参数进行优化,缩短实验仿真周期,促进教学效率与质量的提高;四是可以提升实验效率。数字化电子实验中,存在一些相当复杂的实验,学生在实验过程中可能会因为操作不合理,难以完成对实验结果的观察分析。EDA技术的应用,对实验过程进行了简化,使得实验操作变得更加简单,通过调整实验条件的方式,也可以同时实现多个实验方案的对比分析,有助于实验效率的提高。

这里就EDA技术在数字化电子实验中具体应用进行简单分析。

5.1  全加器实验

全加器实验属于验证型实验,主要目的是为了更好地了解和掌握Quartus II软件以及EDA技术的实现流程,通过原理图编辑输入的方式来判断电路功能是否可以顺利实现。在实验操作环节,基本步骤为原理图编辑输入→编译→功能仿真→时序仿真→引脚配置→编程下载。以四位全加器实验为例,实验教学的基本目的,是加深学生对于全加器工作原理和电路构成的理解,帮助其更好地掌握EDA技术,同时确保学生能够熟悉Quartus II原理图输入方法,完成简单组合电路设计。一个四位全加器可以由四个一位全加器构成,加法器间可以采用串行的方式实现进位,简单来讲,就是将低位加法器进位输出cout与相邻高位加法器最低进位输入cin连接在一起。一位全加器通常包含两个半加器和一个或门,在实验中可以根据半加器电路原理图,有护着真值表,得到一位全加器的VHDL描述。具体实验步骤如下:

1)建立文件夹,将其重命名为adder;

2)构建原理图文件工程与仿真,依照相应流程完成原理图的编辑和输入;

3)将设计项目设置为可以调用的元件。打开半加器原理图文件,选择相应菜单,将电路图变成一个元件符号存盘,方便在高层次设计中直接调用。

4)设计全加器顶层文件,并将其设定为可调用元件。对于四位全加器,定测设计需要将全加器设置成可以调用的底层元件,在原理图文件打开的情况下,依次选择对应菜单(File~Create/Update--CreateSymbolforcurrentFile),将当前的电路图转变为一个元件符号进行存盘,同样必须保证其在高层次设计中能够直接调用。

5.2  数字电子时钟实验

数字电子时钟实验属于设计型实验,根据不同的需求,可以得到不同形式的电路设计方案,例如,在设计中,可以应用原理图编辑输入法,可以选择74Hc161、74HCl63等芯片进行设计,也可以运用VerilogHDL或者VHDL,通过编写代码的方式进行设计。设计环节,教师需要针对板级测试中的相关参数进行改写,使得学生能够认识到,EDA技术支持下的数字化电子实验有着较高的自由性,可以满足多样化需求,推动电子设计的自动化和智能化[10]


6  总结

总之,在数字化电子技术实验中,应用EDA技术,能够促进实验效果的提高,对实验流程进行简化,避免重复工作,同时也可以使得学生更好地感受模拟实验的效果,强化其对于实验过程的认知,学生可以通过实验将自身掌握的理论知识与实践结合起来,以理论辅助实践,以实践检验理论,理解电路基本原理,从而提升对于学习的积极性和能动性,推动学习成效的提高。

参考文献

[1]王雪丽EDA技术在数字电子技术实验中的应用分析[J].电子制作,2014(21):212.

[2]符继征.浅析EDA技术在数字电子技术试验中的应用[J].赤峰学院学报(自然版),2016,32(8):41—42.

[3]许佩博研究EDA技术在数字电子技术实验中的应用效果[J].电子技术与软件工程,2015(9):120.

[4]邵在虎.EDA技术在数字电子技术实验中的应用[J].电子技术与软件工程,2015(20):113.

[5]韦凡捷.EDA技术在数字电子技术实验中的实践[J].数字技术与应用.2015(9):219.

[6]秦晨.刍"~KEDA技术在数字电子技术实验中的运用[J].科技展望,2016,26(9):167.

[7]胡灿.EDA技术在数字电子技术实验中的运用[J].通讯世界,2016(1):213.

[8]赵宇.EDA枝术在数字电子技术实验中的应用分析[J].湖北函授大学学报,2017,30(13):125-126.

[9]钟先芳.数字电子技术实验中的EDA技术分析[J].科技资讯.2015(29):12.

[10]王彩凤,李卫兵,杜玉杰,等.EDA技术在数字电子技术实验中的应用[J].实验科学与技术,2011,9(1):4—6.

作者简介:林挺(1968一),男,四川安岳人,大学本科,讲师,研究方向:电工电子技术。
 

本站承诺
期刊ING做为负责任的论文代发服务提供商,会一如既往地诚信经营,任何情况都如实相告,绝不会为了成交而故意隐瞒、歪曲事实,“有所为有所不为”这个涉及道德底线的原则问题,我们会永远坚持下去!!!

1、刊登您学术论文的期刊,一定是正刊,拒绝假刊、增刊、克隆刊、会议论文集,否则全额退款。

2、论文发表之后,一定能够在知网、万方、维普、龙源等数据库检索到您的论文,否则全额退款。(说明:您论文具体收录在哪些数据库,以所选期刊为准)

3、保证客户隐私,不倒卖客户信息,始终如一的服务态度,坚持售后到底。


相关论文
最新论文
服务与咨询